알테라, 새로운 Quartus Prime 설계 소프트웨어 제공, 더욱 더 앞선 디자인 성능과 생산성 달성
  • 2015-11-05
  • 편집부

새로운 세대의 프로그래머블 디바이스로 실리콘 성능과 포괄적인 자원들을 효과적으로 활용
 
새로운 세대의 프로그래머블 로직 디바이스로 설계 생산성에 있어서 새로운 시대가 열리게 되었다. Altera(NASDAQ: ALTR)는 새로운 Quartus® Prime 설계 소프트웨어를 제공한다고 밝혔다. Altera의 이 새로운 소프트웨어 환경은 자사의 검증된 사용자 친화적 Quartus II 소프트웨어를 바탕으로 하고 있으며 새로운 생산성 지향적 툴로서 Spectra-Q™ 엔진을 도입하고 있다. Quartus Prime 설계 소프트웨어는 디자인 반복을 줄이고, 업계에서 가장 빠른 컴파일 시간을 달성하고, 실리콘 성능을 가속화함으로써 FPGA 및 SoC FPGA의 설계 작업을 향상시키도록 설계되었다.

Altera의 소프트웨어 및 IP 마케팅 선임 이사인 Alex Grbic은 “우리 회사의 소프트웨어 툴은 업계 전체에서 가장 뛰어난 수준의 성능과 생산성을 제공하는 것으로 알려져 있다. Quartus Prime 설계 소프트웨어는 수십 년에 걸쳐서 지속되어온 소프트웨어 혁신을 바탕으로 해서 우리 회사 고객들에게 프로그래머블 로직 디바이스로 새로운 차원의 성능과 생산성을 가능하게 함으로써 Altera의 그러한 리더쉽을 더욱 더 탄탄히 하고 있다”고 말했다.

Quartus Prime 설계 소프트웨어 사용자는 이전 소프트웨어 버전과 동일하게 사용하기 편리한 프론트엔드 사용자 인터페이스를 사용할 수 있을 뿐만 아니라 또 한편으로는 백엔드로 Spectra-Q 엔진을 추가함으로써 새로운 일련의 더 빠르고 더 확장성 뛰어난 알고리즘들을 사용해서 이전에 불가능했던 컴파일 시간과 향상된 디자인 성능을 달성하게 되었다. 이 엔진은 또한 계층적 데이터베이스를 사용해서 IP 블록들의 배치 및 배선을 유지함으로써 안정적인 디자인을 유지하고 불필요한 타이밍 종결 작업을 없애고 컴파일 시간을 단축한다. Spectra-Q 엔진에 관한 더 자세한 정보는 www.altera.com/spectraq에서 볼 수 있다.

 

조기에 몇몇 고객들이 사용해 본 결과, Quartus Prime 설계 소프트웨어는 다수의 Arria® 10 디자인으로 훨씬 더 높은 디자인 성능과 디자이너 생산성을 달성하는 것으로 나타났다. Quartus Prime 설계 소프트웨어 버전 15.1을 사용함으로써 Arria 10 디자인을 겨냥해서 설계 작업을 하는 고객들이 다음과 같은 일을 할 수 있게 되었다:

새로운 Hybrid Placer와 Global Router 알고리즘을 사용함으로써 이전 소프트웨어 버전에 비해서 평균적으로 한 속도 등급 앞서는 성능 달성
새로운 BluePrint Platform Designer를 사용함으로써 최대 10배 더 빠른 IO 디자인 달성
이 소프트웨어의 새로운 Rapid Recompile 기능을 사용함으로써 최대 4배 더 빠른 컴파일 시간 달성
System Verilog-2005와 VHDL-2008을 비롯해서 확장된 하드웨어 기술 언어 지원
Quartus Prime 설계 소프트웨어 버전 15.1에 관한 추가적인 정보는 www.altera.com/whatsnew에서 볼 수 있다.
 
Quartus Prime 설계 소프트웨어 라이센싱 모델

Quartus Prime 설계 소프트웨어는 고객의 디자인 요구에 따라서 3가지 이디션으로 제공된다. Quartus Prime Pro Edition은 Altera의 최신 세대의 고성능 FPGA 및 SoC FPGA를 지원하기 위한 최신의 성능 및 생산성 툴들을 제공한다. Quartus Prime Standard Edition은 Altera의 새로운 디바이스 제품들을 지원하며, Quartus Prime Lite Edition은 Altera의 대량수량 디바이스 제품군을 지원한다. Pro 및 Standard 이디션은 연간 소프트웨어 라이센스를 필요로 하며, Lite 이디션은 무료 다운로드로 제공되고 라이센스 파일을 필요로 하지 않는다.
 
포괄적인 IP 에코시스템 제공

Quartus Prime 설계 소프트웨어는 사용자들에게 Altera의 포괄적인 IP(intellectual property) 코어 모음을 제공한다. 이 새로운 소프트웨어 릴리즈에 추가되거나 향상된 것들로는 4개의 새로운 FEC(Forward Error Correction) DSP 코어, 저-지연시간 10G 이더넷 MAC 및 1G/2.5G/10G 멀티-레이트 이더넷 PHY로 새로운 2.5G 동적 레이트 변경 옵션 추가, 외부 메모리 인터페이스 IP의 활용 향상을 포함한다. 또한 새롭게 동적으로 생성 및 구성 가능한 하드웨어 디자인 예들을 포함하므로 하드웨어로 IP 평가 작업을 하는 것을 간소화하므로 디자이너 생산성을 추가적으로 더욱 향상시킨다. Altera의 IP 솔루션에 관한 더 자세한 정보는 “what’s new in IP” 웹페이지에서 볼 수 있다.
 
공급 및 가격

Quartus Prime 설계 소프트웨어는 현재 다운로드할 수 있게 제공한다. Quartus Prime Pro 및 Standard 이디션은 ModelSim®-Altera Starter 이디션 소프트웨어와 IP Base Suite에 대한 사용권을 제공한다. 연간 소프트웨어 라이센스 비용은 노드 한정 PC 라이센스로 2,995달러이며 Altera의 eStore에서 구입할 수 있다. 

<저작권자(c)스마트앤컴퍼니. 무단전재-재배포금지>



  •  홈페이지 보기
  •  트위터 보기
  •  페이스북 보기
  •  유투브 보기
  • 100자평 쓰기
  • 로그인

세미나/교육/전시
TOP