[ ISSCC 2019] 반도체 올림픽 고체회로학회, 어떤 논문 나오나
  • 2018-12-04
  • 신윤오 기자, yoshin@elec4.co.kr

총 609편의 논문이 제출되어 193편의 논문이 채택
극동지역의 논문은 78편이며 한국은 25편의 논문 통과


내년 2월 미국 샌프란시스코 메리어트 호텔에서 열리는 국제고체회로학회(ISSCC)에는 총 609편의 논문이 제출되어 193편의 논문이 채택(채택률 31.7%)되었다.

지난 학회에 611편의 논문 중 202편이 채택된 것에 비하면 다소 줄어든 양이다. 이중 극동지역의 논문은 78편이며 한국은 25편의 논문이 채택되었다. 지난 행사에 34편의 논문이 채택된 것에 비하면 대폭 줄어들었다. 반면 중국(계)이 이번 학회에 18편의 논문이 채택되어 최근 몇 년간의 상승세를 이어간 것이 눈에 띄는 대목이다.



ISSCC 2019 기술 분과별 논문 소개

 Memory  기술 분과


이번 메모리 분과에서는 총 3개 세션에서 14편의 논문이 발표된다. 아시아 11편(한국 논문 6편 포함), 북미 3편이다. 산업계에서 10편, 아카데미에서 4편이 나왔다.

포럼에서는 “Memory-Centric Computing from IoT to Artificial Intelligence and Machine Learning” 주제로, 튜토리얼(2/17일)에서는 “Advances and Prospects for In-Memory Computing” (Joint/w TD)가 발표된다.

메모리 분과에서 발표되는 논문 중 가장 하이라이트는 비휘발성 메모리의 Scaling 및 Performance 향상에 관한 것이다.

▶ A 1.33Tb 4-bit/Cell 3D-Flash Memory on a 96-Word-Line-Layer Technology [Toshiba Memory, Western Digital]
▶ A 3.6Mb 10.1Mb/mm2 Embedded Non-Volatile ReRAM Macro in 22nm FINFET Technology with Adaptive Forming/Set/Reset Schemes Yielding Down to 0.5V with Sensing Time of 5ns at 0.7V [Intel]
▶ A 512Gb 3b/Cell 3D Flash Memory on 128-Wordline-Layer with 132MB/s Write Performance Featuring Circuit Under Array Technology [Western Digital, Toshiba Memory]

또한 DRAM 대역폭 지속 향상 및 표준화와 SRAM & Computation-in-Memory의 지속적인 술 개발도 눈에 띈다. 특히 삼성과 SK하이닉스의 각각 LPDDR5와 DDR5에 대한 논문은 선도 표준으로 주목된다. 메모리 분과의 논문을 소개한 SK하이닉스의 이동욱 수석은 “메모리 분야는 인더스트리가 강세인 가운데 학교 쪽 논문은 적다. 한국의 대학에서 메모리 분야의 연구에 신경을 많이 써 줬으면 한다”고 말했다.

▶ A 7.5Gb/s/pin LPDDR5 SDRAM with WCK Clocking and Non-target ODT for High Speed and DVFS, Internal Data Copy, and Deep Sleep Mode for Low Power [삼성전자]
▶ A 1.1V 1ynm 6.4Gb/s/pin 16Gb DDR5 SDRAM with a phase rotator based DLL, high speed SERDES and Rx/Tx equalization scheme [SK하이닉스]
▶ A 512GB 1.1V Managed DRAM Solution with 16GB ODP and Media Controller [SK하이닉스] (Demo Session)
▶ A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel MAC Computing time for CNN-based AI Edge Processors [National Tsing Hua Univ.]
▶ A 7nm 2.1GHz Dual-Port SRAM with WL-RC Optimization and Dummy-Read-Recovery Circuitry to Mitigate Read-Disturb-Write Issue [TSMC]



 Technology Directions  기술 분과


테크놀로지 분과(Technology Directions)는 총 3개 세션에서 19편이 발표됐으며 아시아는 7편(한국 1편, 일본 5편, 대만 1편)을 냈다. 이머징 테크놀로지 관련하여 아세아 논문 2편이 나왔다. 휴먼 인터랙션 및 헬스 기술 관련하여 아세아 논문 2편이 나왔다.

일본 Tohoku 대학의 ‘최초의 비 휘발성 FPGA, CPU, STT-MRAM을 하나로 집적한 저전력 칩’ 논문이 채택됐다. (▶An FPGA-Accelerated Fully Nonvolatile Microcontroller Unit for Sensor-Node Applications in 40nm CMOS/MTJ-Hybrid Technology Achieving 47.14μW Operation at 200MHz)

하이라이트 논문은 일본 반도체 에너지랩(Semiconductor Energy Laboratory)의 ‘리튬 이온 배터리 보호 칩에 적층 가능한 CAAC-IGZO 기반의 단락회로 검출기’ 논문이다. (▶Micro Short-Circuit Detector Including S/H Circuit for 1hr Retention and 52dB Comparator Composed of C-Axis Aligned Crystalline IGZO FETs for Li-Ion Battery Protection IC)

일본 소니사에서는 인공지능 및 자율주행로봇을 위한 센서, 프로세서, 엑추에이터, 무선통신 등 분야의 핵심기술 개발 현황을 소개한다(▶Sensors, Actuators, and LSIs for Robotics).

한국에서는 KAIST가 최초의 광학식/전기식 2중 단층촬영이 가능한 의료용 저전력 SoC 칩 주제로 낸 논문이 채택됐다. (▶A 7.0fps Optical and Electrical Dual Tomographic Imaging SoC for Skin-Disease Diagnosis System)

퀀텀 및 포토닉스 기술 분야에서는 아세아에서 논문 3편이 채택됐다.

일본 나고야 대학에서 ‘48GHz로 4.2K에서 동작하는 8×8b 초 전도체 단일 선속 퀀텀 곱셈기’ 논문을 냈다. (▶A 48GHz 5.6mW Gate-Level-Pipelined Multiplier Using Single-Flux Quantum Logic)

일본 도쿄 공업대학의 논문은 세슘-133가스 셀에 기반 한 초소형 저전력 원자시계이다. (▶Ultra-Low-Power Atomic Clock for Satellite Constellation with 2.2×10-12 Long-Term Allan Deviation Using Cesium Coherent Population Trapping)

대만 국립 자오퉁 대학의 논문은 ‘LiDAR 시스템을 위한 초고속 디지털 GaN 드라이버’이다. (▶A Digital-Type GaN Driver with Current-Pulse-Balancer Technique Achieving Sub-Nanosecond Current Pulse Width for High-Resolution and Dynamic Effective Range LiDAR System)

 DAS  기술 분과

DAS(Digital Architecture & Systems) 분과에서는 총 2개 세션에서 14편의 논문이 발표됐다. 극동 9(한국 4, 일본 3, 인도 1, 중국), 북미 4, 유럽 1개의 논문이다.

하이라이트 논문은 IBM, 삼성전자, 미시간 대학, KAIST 등에서 낸 것이다.

먼저 IBM의 논문은 ▶Summit and Sierra: Designing AI/HPC Supercomputers. AI/HPC를 위한 서밋과 Sierra 슈퍼컴퓨터를 소개(Invited Paper)한다. 서밋은 9216개의 IBM POWER9 CPU와 27,648개의 NVIDIA Volta GPU를 집적하여 200 PFLOPS의 성능을 내고, Siera는 8648개의 IBM POWER9 CPU와 17,280개의 NVIDIA Volta GPU를 집적하여 125 FLOPS 성능을 낸다.

삼성전자의 ‘모바일 SOC를 위한 듀얼코어 NPU를 8nm 공정으로 구현’ 논문도 주목된다. (▶A 11.5 TOPS/W 1,024-MAC Butterfly-Structure Dual-Core Sparsity-Aware Neural Processing Unit in 8nm Flagship Mobile SOC)

미시간 대학은 CNN 신경망을 활용한 전장용 실시간 위치추정 프로세서 주제로 논문을 냈다. (▶A 879GOPS, 243mW 80fps VGA Fully Visual CNN-SLAM Processor for Wide Range Autonomous Exploration)

KAIST의 논문은, CNN과 RNN을 동시 지원하며 강화학습이 가능한 SOC. (▶A 2.1 TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression)

이 밖에 한국 논문으로는 서울대에서 Spike model을 활용하여 저전력으로 on-chip 트레이닝 가능한 신경망 프로세서 주제로, KAIST에서 Mixed precision과 sparsity를 활용하여 learning 가능한 고성능 DNN 주제 논문이 채택되었다

 Digital Circuits  기술 분과

디지털 회로(Digital Circuits) 분과에서는 총 3개 세션에 17편 논문이 발표됐다. 아시아, 유럽 각 1편을 제외하면 전부 북미 논문이다. 주로 고에너지 효율 디지털 회로 기술과 보안 회로 기술 중심이다.

미국 조지아 공과대학에서, Hybrid mixed signal circuit 및 Model based Accelerator를 통해 성능대비 전력을 최소화하는 논문을 냈다. (▶A 65nm 1.1-9.1TOPS/W Hybrid-Digital-Mixed-Signal Computing Platform for Accelerating Model-Based and Model-Free Swarm Robotics(Georgia Institute of Tech)

워싱톤 대학에서는 Lower Power를 위한 on-chip minimum-energy-point (total energy based) 트래킹 알고리즘을 제안했다. (▶Computationally-Enabled Total Energy Minimization under Performance Requirements for a Voltage Regulated 0.38V --0.58V Microprocessor in 65nm CMOS(Univ. of Washington)

칭화대와 조지아 공과대는 공동으로 Post silicon processing을 통해 작은 면적의 Reconfigurable RRAM PUF를 제안했다. (▶A Reconfigurable RRAM Physically Unclonable Function Utilizing Post-Process Randomness Source with < 6x10-6 Natural Bit Error Rate)

 MMD  기술 분과

IMMD(Imagers, MEMS, Medical and Displays) 분과는 총 3개 세션에서 21편 논문이 발표됐다. IMMD 분과는 극동지역이 강세(FE 10)를 보이면 그 중 한국이 6편이다. 이 밖에 북미 8편, EU 3편이다. 한국은 메디컬 분야에서 강세(메디컬 세션 총 13편 중 5편 점유)를 나타냈다.

이미저 하이라이트 논문으로는 영국 에딘버러 대학교, 헤리엇와트 대학, ST마이크로일렉트로닉스가 공동으로 낸 ‘ToF 이미지센서를 위한 고해상도 BSI SPAD 센서 어레이’ 이다. 40nm/90nm 3D-stacked BSI 공정을 통해 256X256 SPAD 어레이와 4096 TDC를 함께 집적했다. (▶A 256x256 40nm/90nm CMOS 3D-stacked 120dB Dynamic Range Reconfigurable Time Resolved SPAD Imager)

미시간 대학에서는 움직임 감지와 같은 IoT 응용을 위한 저전력 CMOS 이미지 센서 주제로 논문을 냈다. 65nm CIS 공정을 통해 저에너지 SAR ADC 구조를 제안하여 함께 집적했다. (▶Energy-Efficient Low-Noise CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC for Motion-Triggered Low-Power IoT Applications)

메디컬 분야의 하이라이트 논문은 KAIST과 버클리 대학 등과 공동으로 연구한, 심내 심장초음파 검진을 위해 초음파 송신기, 수신기 ADC를 250um x 250um 의 면적으로 집적한 ASIC 주제이다. 기존의 초음파 ASIC과는 달리 스탠다드 CMOS 공정을 통해 구현했다. (▶A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic Bit Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography)

또한 IMEC 등이 공동으로 제출한 논문은 다종의 생체신호 센싱(ECG, BIOZ, PPG)을 위해 ARM Cortex-M4f 마이크로프로세서가 집적된 SoC를 55nm CMOS 공정이다. 생체신호 보안을 위해 암호화 IP가 함께 집적이 되었고 BLE와 USB2 인터페이스를 지원한다. (▶A 769 uW battery-powered single-chip SoC with BLE for multi-modal vital sign health patches)

한국논문으로는 연세대, 금오공대가 제출한 이미지 센서 관련한 논문(▶A 76mW 500fps VGA CMOS Image Sensor with Time-Stretched Single-Slope ADCs Achieving a 1.95e- Random Noise)이 채택되었고 KAIST 등은 진단 세션에 ▶‘A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic Bit Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography’ 논문을 냈다.

진단 세션에서는 또한, 연세대(▶A Capacitive Biosensor for Cancer Diagnosis Using a Functionalized Microneedle and a 13.7b-Resolution Capacitance-to-Digital Converter from 1nF to 100nF)와 KIST(▶A 512-Pixel, 3-kHz-Frame-Rate, Dual-Shank, Lens-less, Filter-less Single-Photon-Avalanche-Diode CMOS Neural Imaging Probe)도 논문을 제출했다.

생체현상 측정기 세션에는 KAIST가 2편의 논문(▶A 0.5V, 9.26uW, 15.28mΩ/√Hz Bio-Impedance Sensor IC with 0.55° Overall Phase Error), (▶A 27.8uW Biopotential Amplifier Tolerant to 30Vpp Common-Mode Interference for Two-electrode ECG Recording in 180nm CMOS)이 채택되었다.

 Power Management 기술 분과

전력 관리 분과에선는 첨단 기술에 따라 3가지 세션으로 분류했는데, DC-DC 컨버터(0 FE / 6 NA / 1 EU), 5G 및 무선 전력(6 FE / 2 NA / 0 EU), 에너지 하베스팅 & DC/DC Control(5 FE / 3 NA / 0 EU) 등이 있다.

DC-DC 컨버터에서는 캘리포니아 대학에서 Buck 구조에서 입력에 두 개의 인덕터와 한 개의 커패시터를 쌓는 기법을 제안했다. 논문에 따르면 이 기법은 컨덕션 손실을 줄이고 최대 0.7W/mm2의 전력 밀도와 94%의 전력 변환 효율을 보인다.
(▶A Continuous-Input-Current Passive-Stacked Third-Order Buck Converter Achieving 0.7W/mm2 Power Density and 94% Peak Efficiency)

인텔에서 채택된 논문 주제는 2.5nH의 임베디드 에어-코어 인덕터를 제안한 buck 구조 구현이다. DCM 동작을 디지털 컨트롤을 통해 구현하였으며 1.2V 출력 및 500mA 조건에서 88%의 전력 변환 효율을 보인다. (▶A Fully Integrated Voltage Regulator in 14nm CMOS with Package-Embedded Air-Core Inductor Featuring Self-Trimmed, Digitally Controlled Variable ON-Time Discontinuous Conduction Mode(DCM) Operation)

5G 전력, 무선 전력, GaN 컨버터 세션에서는 8편의 논문중 극동에서 6편이 채택되었다. 삼성전자는 5G 애플리케이션에 대응할 수 있는 서플라이 모듈레이터를 주제로 논문을 냈는데, 이 디바이스는 88%의 효율을 가지면서 1.08μs/V transition time과 100MHz의 envelope tracking bandwidth를 성취했다고 밝혔다. (▶An 88% Efficiency Supply Modulator Achieving 1.08μs/V Fast Transition and 100MHz Envelope Tracking Bandwidth for 5G New Radio RF Power Amplifier)

아나로그디바이스(ADI)는 52%의 최대 효율과 함께 트랜스포머 또한 완전 통합 구현된 1W isolated power transfer system을 제안했다. Class B limit EMI 기준을 5.8dB 마진으로 통과했다. (▶A 52% Peak Efficiency > 1W Isolated Power Transfer System Using Fully-Integrated Transformer with Magnetic Core)

에너지 하베스팅 및 DC/DC 컨트롤 기술 세션에는 총 8편의 논문중 5편이 극동지역에서 나왔다. 미시간 대학은 피에조일렉트릭 방식의 에너지 하베스터에 대해 MPPT를 수행하며, excitation 종류 및 단계가 다르더라도 적합하게 조절하는 ‘Sense-and-Set rectifier’를 제안했다. Power extraction 성능이 periodic excitation은 5.41배, shock excitation은 4.59배가 향상되었다고 보고했다. (▶An Adiabatic Sense and Set Rectifier for Improved Maximum Power Point Tracking in Piezoelectric Harvesting with 541% Energy Extraction Gain” (University of Michigan)

대만 쳉쿵대학은 Background capacitor-current-sensor calibration 및 ADC calibration을 적용한 buck 구조를 제안했다. 2.2배의 settling 속도 및 6배의 캘리브레이션 속도가 향상되었다. (▶Background Capacitor-Current-Sensor Calibration of DC-DC Buck Converter with DVS for Accurately Accelerating Load-Transient Response” (National Cheng Kung University)

 Data Converters  기술 분과

데이터 컨버터 분과에는 총 2개의 세션에서 14편의 논문이 발표되었다.

Nyquist rate ADC의 주요 트렌드는 속도, 해상도의 증가이다. 11~16 bits의 해상도, 2MS/s ~ 5GS/s 속도의 ADC가 발표될 예정이다. 고속, 고해상도를 지원하는 Pipelined ADC 구조가 다시 주목을 받고 있다는 점도 흥미롭다. 전력 효율성을 향상시키기 위하여 SAR, TDC stage 등에 하이브리드 방식이 차용된다. 또한 저전력 Residue 증폭을 위하여, 오픈-루프 Gm-R, 다이내믹 증폭기, 폐-루프 링(ring) 증폭기 등이 사용되었다.



하이라이트 논문으로는 KU Leuven의 28nm CMOS 공정에서 설계된 5G 통신을 위한 저전력 기가헤르츠(GHz) ADC 주제를 꼽을 수 있다. 이 ADC는 8x interleaved hybrid multi-stage pipelined-SAR ADC 구조이며, 9.4b-ENOB, 5GS/s에서 기존 기가헤르츠 ADC에 비하여 훨씬 적은 전력인 158.6mW를 소모(Walden FoM: 46.1fJ/conversiton-step)한다고 보고했다.

마카오 대학은 65nm CMOS 공정에서 설계된 낮은 전압에서도 높은 성능 효율을 보이는 공정, 전압, 온도(PVT)의 변화에 강건한 하이브리드 ADC 논문이 채택되었다. 2단계의 TDC-보조 SAR ADC가 0.6V, 20MS/s에서 13비트의 해상도를 달성한다. 특히 -50℃ ~ 90℃의 온도 변화, ±5% 전압 변화에서도 0.8dB보다 적은 SNDR가 감소한다(Walden FoM: 1.4fJ/conversion-step).

Noise-Shaped & VCO-Based ADC는 데이터 컨버터 커뮤니티에서 지속적인 관심을 받고 있다. 올해 ISSCC에서 최초로 7nm 공정을 이용한 ADC가 발표됐다.

Noise-shaping SAR ADC와 continuous-time delta-sigma ADC 모두 넓은 동작 범위와 높은 전압 효율을 유지하며 대역폭을 늘리는 것을 목표로 하며 이를 위하여 시간 인터리빙, 선형화 등의 새로 고안된 기술이 사용된다

하이라이트 논문으로는 미디어텍이 선보인 ‘continuous-time delta-sigma modulator’이다. 7nm 공정에서 설계된 세계 최고의 전력 효율을 보이는 이 모듈레이터는 25-MHz 대역폭에서 7-bit DAC가 74dB SNDR을 성취한다(Schrier FoM: 172.2dB, Walden FoM: 18.6fJ/conversion-step).

마카오 대학도 이전 논문들에 비하여 2배 더 높은 전력 효율을 보이는 100-MHz 대역폭의 continuous delta-sigma modulator를 선보였다. 예비 샘플링 quantizer를 이용하여, 2GHz의 샘플링 레이트, 100-MHz의 대역폭을 달성(Schrier FoM: 170.5dB, Walden FoM: 23.4fJ/conversion-step)했다.

데이터 컨버트의 첫 번째 트렌드는 전력 효율이다. ADC의 SNDR의 함수는 유효 샘플링 속도에 비례하여 소비되는 전력을 나타내며 ADC 전력 효율을 측정한다. 회로 노이즈는 고해상도 변환기의 경우 더욱 중요하며, 실선으로 표시된 신호 대 잡음비의 제곱에 비례하는 다른 벤치마크가 요구된다. 점선은 1fJ/conversion-step의 벤치마크를 나타내고 ISSCC 2019는 검은 점으로 표시되었으며, 점점 오른쪽 아래로 내려가는 추세이다.

두 번째 트렌드는 유효 샘플링 속도 대 전력 소비로 표준화 된 신호 충실도이다. 에너지 효율을 높게 유지하며 정확한 고속 변환은 어려우나, ISSCC 2019는 파이프 라인 방식의 구조를 사용하여 파형의 개형을 유지한다.

세 번째 트렌드는 SNDR의 기능으로 달성된 대역폭이다. 증가된 노이즈 대역폭과 결합된 샘플링 지터(jitter) 혹은 aperture 오류는 고해상도 및 고대역폭을 둘 다 달성하기 어렵게 만든다. 최근에는 0.1psrms 이하의 조리개 오차를 갖는 설계가 광범위한 해상도로 발표됐다. ISSCC 2019는 SAR 및 ring 증폭기 기반 파이프 라인 구조로 0.1psrms 트렌드 라인을 초과하는 설계로 진보된 기술을 선보였다.

 Analog  기술 분과

아날로그 분과는 아날로그와 센서 등 2개의 세션으로 구성되었다. 센서 인터페이스에는 4편이고 아날로그 분야는 8편이 나왔다.

CMOS 온도센서의 트렌드로는 저항기반의 온도센서가 기존의 BJT 기반의 온도센서의 성능을 상외하는 논문이 발표됐다. 우수한 변환효율, BJT와 유사한 1-포인트 보정 후 정확도(3 sigma < 0.4℃)를 나타낸다.

또한 크리스탈 오실레이터 스타트업 회로의 전력 소모가 점차적으로 개선되었으며 기존의 기법을 I/Q modulation principle 기법을 도입함으로써 현재까지 보고된 모든 스타트업 에너지보다 현저히 저감된 에너지만으로 구동이 가능하다.



히타치(Hitachi)에서 발표하게 될 MEMS 가속도계는 기존의 효율대비 11배 개선된 효율을 달성하였고 기존 저전력 가속도계에 비해 1/9의 잡음 수준에 도달했다. (▶A 22ng/√Hz 17mW MEMS accelerometer with digital noise-reduction techniques)

미디어텍(MediaTek)에서 발표할 Audio decoder는 DAC의 잡음을 효과적으로 저감시켰고, poly 저항의 비선형성을 보정하는 기법을 도입하여 -105dBc THD+N(-114dBc HD2)와 120dB DR을 달성했다. (▶A -105dBc THD+N (-114dBc HD2) at 2.8VPP Swing and 120dB DR Audio Decoder with Sample-and-Hold Noise Filtering and Poly Resistor Linearization Schemes)

Postech에서 발표하게 될 논문은 초저전력 기준전압원 회로로 프로세스 산포에서 발생하는 변화를 ‘reverse narrow-channel effect’를 이용하여 보정하는 기법을 제안하였다. 이를 통해 고정밀 기준전압을 pW 수준의 전력만으로 구현하였다. (▶A 192pW Hybrid Bandgap-Vth Reference with Process-Dependence Compensation by Dimension-Induced Side-Effect)

 Wireless  기술 분과

무선 분과에서는 3개 세션에 총 23편(FE: 6, NA: 13, EU: 4)의 논문이 발표되었다.

각 세션의 무선 기술 주제는 다음과 같다. 먼저 튜토리얼에서는 아날로그 회로의 단점을 디지털 회로 및 알고리즘을 이용하여 보상하는 방법에 대한 내용을 알기 쉽게 설명한다.

포럼에서는 Sub-6GHz 5G NR 상용화를 위한 RF 시스템 전반에 걸쳐 각계 8명의 전문가가 여러 주제를 발표할 예정(Qualcomm, Samsung, MediaTek, etc)이다.

안전한 자율 주행을 위해 필요한 레이더 설계에 관하여 산업계 선도 기업들이 참여해 발표할 예정이다.

무선 분야의 하이라이트 논문은 다음과 같다. 미디어텍이 자율 주행을 위한 DSP를 내장한 79GHz FMCW radar 트랜시버(▶Toward Automotive Surround View Radars) 주제 논문이 채택되었고, 일본 히로시마 대학은 40nm CMOS로 3cm 떨어진 곳에서 16QAM으로 300GHz 80Gb/s 달성하는 기술을 소개한다(▶An 80Gb/s 300GHz-Band Single-Chip CMOS Transceiver).

또한, KAIST는 주파수 오차가 작고, 저전력을 소모하는 5G 28GHz 빔포밍 위상 조절기를 발표한다(▶A 28GHz 20.3% TX Efficiency 1.5 Degree Phase Error Beamforming Front-End IC with Embedded Switches and Dual-Vector Variable-Gain Phase Shifters)
특히 4G/5G 셀룰러 트랜시버 관련 논문이 하이라이트다. 이 세션은 주로 4G LTE, 4G LTE Advanced, 5G NR 관련 핸드폰 응용의 무선 통신 관련 논문들을 주로 다룰 예정이다. 이번 연도는 삼성, 퀄컴, 인텔, 미디어텍 등에서 골고루 논문을 발표할 예정이어서 많은 관심이 주목된다.

도쿄 대학과 NEC는 5G NR을 위한 28GHz CMOS 위상 어레이 빔포머 주레를 발표하고(▶A 128H+128V 28GHz CMOS Phased-Array Beamformer Utilizing Neutralized Bi-Directional Technique Supporting Dual-Polarized MIMO for 5G NR)
대만의 미디어텍은 4x4 MIMO, 256 QAM 지원하는 28nm CMOS LTE Advanced RF 트랜시버를 소개한다(▶An LTE-A Multi-mode Multi-band RF Transceiver with 4RX/2TX Inter-band Carrier Aggregation, 2-Carrier 4x4 MIMO with 256QAM and HPUE Capability in 28nm CMOS).

특히, 삼성전자는 14nm FinFET 2G/3G/4G LTE/sub-6GHz 5G NR 전부 지원하는 RF 트랜시버 주제를 내놓아 눈길을 끈다. (▶A Sub-6GHz 5G New Radio RF Transceiver Supporting EN-DC with 3.15Gbps DL and 1.27Gbps UL in 14nm FinFET)

IoT를 위한 BLE, BT5 등의 무선 송수신 칩은 저전력 소모가 가장 중요하지만, ISM 주파수 대역을 사용하기 때문에 다른 사용자에 의한 간섭에 둔감한 것이 저전력 소모와 통신 속도 유지에 아주 중요하다. 이 세션은 저전력 소모하면서 외부 blocker에 둔감할 수 있는 회로 기술을 소개한다.

컬럼비아 대학은 시간 적분기를 이용한 65nm CMOS의 Low power Wake-up OOK 수신기 논문이 채택되었다(▶A 0.42nW 434MHz -79.1dBm Wake-Up Receiver with a Time Domain Integrator)

UC 샌디에고 대학은 FBAR 필터와 정교한 다 차원의 웨이크업 감지 알고리즘을 적용한 저전력, 고감도, 외부 간섭에 둔감한 BLE 수신기 논문이 채택되었다(▶A 220μW -85dBm Sensitivity BLE-compliant Wake-up Receiver Achieving -60dB SIR via Single-Die Multi-Channel FBAR-based Filtering and a 4-Dim Wake-Up Signature)

미시간 대학은 안테나를 송신기 load로 이용 출력 파워 및 효율을 개선한 저전력 BLE 송신기를 발표한다.(▶A 606-μW Millimeter-Scale Bluetooth Low Energy Transmitter using Co-Designed 3.5x3.5 mm2 Loop Antenna and Transformer-Boost Power Oscillator)

 RF  기술 분과

RF 분과에는 3개의 세션에서 총 23편(FE: 8(한국 1), NA: 11, EU 4)의 논문이 발표될 예정이다. 총 2개의 Regular Session과 1개의 하프 세션이 개최된다. 세션의 주요 키워드는 5G, 밀리미터 주파수이다.

안테나에 높은 출력을 공급하면서도 높은 수준의 전력효율성을 유지하는 밀리미터 대역 파워 앰프(Power Amplifier)는 5G 기술 고도화를 위한 핵심 기술이다. 이를 위하여, 밀리미터 대역 Doherty PA가 대세 구조로 발표된다. 또한, PA와 안테나를 하나의 모듈로 개발하는 것이 새로운 추세가 되고 있다.

하이라이트 논문은 조지아공과대학의 논문이 채택되었다. 최대 출력에서 뿐 아니라, 저출력 상황에서도 30% 이상의 높은 전력효율을 보장할 수 있는 28GHz 대역의 밀리미터 Doherty PA를 개발하였다. (▶A Highly Linear Super-Resolution Mixed-Signal Doherty Power Amplifier for High-Efficiency mm-Wave 5G Multi-Gb/s Communications)

5G 통신 및 초광대역 통신시스템에 사용되는 초저잡음의 반송주파수 및 클락주파수 신호를 생성하는 주파수 합성기 구조들이 소개된다. 디지털 방식, Sub-sampling 방식, Bang-Band PLL 방식 등의 다양한 구조들의 최신 기법들이 발표될 예정이다.

하이라이트 논문은 한국의 UNIST 논문이다. 28GHz 밀리미터 대역에서 256QAM과 같은 초고차원 모듈레이션 기법을 적용할 수 있는 76fsRMS 수준의 초저잡음 신호를 생성하였다. Sub-sampling 방식과 Injection-locking 방식을 함께 적용한 새로운 구조를 소개한다. (▶A 76fsrms Jitter and -40dBc Integrated-Phase-Noise 28-to-31GHz Frequency Synthesizer Based on Digital Sub-Sampling PLL Using Optimally Spaced Voltage Comparators and Background Loop-Gain Optimization)

자율주행 자동차의 핵심 기술인 고주파 레이더 기술들이 소개하는 세션도 마련된다. 또한 외부로 부터 들어오는 방해 신호를 제거하는 회로 기술들이 소개된다.

하이라이트 논문으로는 IMEC과 소니 반도체솔루션의 논문이 있다. 자율 주행 자동차의 핵심 기술 중 하나인 FMCW Radar 기술을 발표하는데 수 GHz 대역에 이르는 광대역 Chirp 기술을 개발하여, 정교한 물체 감지가 가능하도록 하였다. (▶A Self-Calibrated 16GHz Subsampling-PLL-Based 30μs Fast Chirp FMCW Modulator with 1.5GHz Bandwidth and 100kHz rms Error)
 

<저작권자(c)스마트앤컴퍼니. 무단전재-재배포금지>

본 기사의 전문은 PDF문서로 제공합니다. (로그인필요)
다운로드한 PDF문서를 웹사이트, 카페, 블로그등을 통해 재배포하는 것을 금합니다. (비상업적 용도 포함)
 PDF 원문보기

#반도체   #부품   #인공지능  

  • 100자평 쓰기
  • 로그인

세미나/교육/전시
TOP